Роль лексического и синтаксического анализа в маршруте разработки проекта ПЛИС

Цифровое моделирование в Delta Design производится благодаря модулю Delta Design Simtera, работающего на основе описания работы устройств на HDL-языках (Verilog/SystemVerilog/VHDL). В своём докладе один из главных разработчиков Simtera уделяет внимание особенностям лексического и синтаксического анализа в маршруте разработки проекта ПЛИС в системе цифрового моделирования Delta Design Simtera -- Доклад Артёма Варганова на конференции FPGA разработчиков FPGA-Systems 2021.1 -- Презентация к докладу: -- Посмотреть записи всех выступлений и скачать презентации докладчиков -- Генеральный партнёр конференции - Компания «ЭРЕМЕКС» - - авторитетный разработчик программного обеспечения для автоматизации проектирования радиоэлектронной аппаратуры. -- Зал предоставлен - аренда САПР по спец цене, изготовление MPW & miniASIC, IP
Back to Top